期刊文献+
共找到272篇文章
< 1 2 14 >
每页显示 20 50 100
DESIGN OF TWO-PHASE SINUSOIDAL POWER CLOCK AND CLOCKED TRANSMISSION GATE ADIABATIC LOGIC CIRCUIT 被引量:5
1
作者 Wang Pengjun Yu Junjun 《Journal of Electronics(China)》 2007年第2期225-231,共7页
First the research is conducted on the design of the two-phase sinusoidal power clock generator in this paper. Then the design of the new adiabatic logic circuit adopting the two-phase sinusoidal power clocks--Clocked... First the research is conducted on the design of the two-phase sinusoidal power clock generator in this paper. Then the design of the new adiabatic logic circuit adopting the two-phase sinusoidal power clocks--Clocked Transmission Gate Adiabatic Logic (CTGAL) circuit is presented. This circuit makes use of the clocked transmission gates to sample the input signals, then the output loads are charged and discharged in a fully adiabatic manner by using bootstrapped N-Channel Metal Oxide Semiconductor (NMOS) and Complementary Metal Oxide Semiconductor (CMOS) latch structure. Finally, with the parameters of Taiwan Semiconductor Manufacturing Company (TSMC) 0.25um CMOS device, the transient energy consumption of CTGAL, Bootstrap Charge-Recovery Logic (BCRL) and Pass-transistor Adiabatic Logic (PAL) including their clock generators is simulated. The simulation result indicates that CTGAL circuit has the characteristic of remarkably low energy consumption. 展开更多
关键词 Circuit design Two-phase sinusoidal power clock clock generator clocked Transmission Gate Adiabatic Logic (CTGAL) circuit
在线阅读 下载PDF
Design of a Low Power DSP with Distributed and Early Clock Gating 被引量:1
2
作者 王兵 王琴 +1 位作者 彭瑞华 付宇卓 《Journal of Shanghai Jiaotong university(Science)》 EI 2007年第5期610-617,共8页
A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gatin... A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gating circuit in high speed circuit, a distributed and early clock gating method was developed on its instruction fetch & decoder unit, its pipelined data-path unit and its super-Harvard memory interface unit. The core was implemented following the Synopsys back-end flow under TSMC (Taiwan Silicon manufacture corporation) 0.18-μm 1.8-V 1P6M process, with a core size of 2 mm×2 mm. Result shows that it can run under 200 MHz with a power performance around 0.3 mW/MIPS. Meanwhile, only 39.7% circuit is active simultaneously in average, compared to its non-gating counterparts. 展开更多
关键词 digital signal processor (DSP) deterministic clock gating (DCG) distributed and early clock gating low power design pipeline
在线阅读 下载PDF
基于Early Clock Flow方式的时钟树综合物理设计
3
作者 杨沛 邹文英 +1 位作者 陈柱江 李小强 《集成电路应用》 2024年第9期1-3,共3页
阐述时钟树综合作为芯片后端物理设计的核心步骤,成为制约芯片设计技术发展的关键。基于业界主流设计工具Innovus软件的早期时钟流程Early Clock Flow,改进设计流程,优化时钟树综合结果。与传统时钟树综合对比,时钟单元数量减少3.2%,走... 阐述时钟树综合作为芯片后端物理设计的核心步骤,成为制约芯片设计技术发展的关键。基于业界主流设计工具Innovus软件的早期时钟流程Early Clock Flow,改进设计流程,优化时钟树综合结果。与传统时钟树综合对比,时钟单元数量减少3.2%,走线长度减少1.5%,时钟树功耗减少3.7%。特别是时序结果大幅改善,芯片拥塞面积减少32%,设计周期缩短15%,节省了设计成本。 展开更多
关键词 电路设计 早期时钟 时钟树综合(CTS) useful skew 物理设计 后端设计
在线阅读 下载PDF
移动群智感知任务的预算可行时钟拍卖机制
4
作者 张骥先 洪金梁 《郑州大学学报(工学版)》 北大核心 2025年第4期85-92,共8页
针对传统激励机制中要求用户提前披露个人价值判断,进而可能导致隐私泄露的问题,通过建立移动群智感知的数学模型,明确了感知任务、价值函数、预算以及用户效益等关键因素,并提出了一种基于时钟拍卖的MCCA机制,以有效解决隐私泄露问题... 针对传统激励机制中要求用户提前披露个人价值判断,进而可能导致隐私泄露的问题,通过建立移动群智感知的数学模型,明确了感知任务、价值函数、预算以及用户效益等关键因素,并提出了一种基于时钟拍卖的MCCA机制,以有效解决隐私泄露问题。所提机制包括初分配定价阶段和最终赢家确定阶段,能够有效保护用户隐私。理论分析表明:MCCA算法满足真实性、个体理性、预算可行性和高效性。在实验部分,将MCCA与现有算法从用户规模、预算规模和POI规模等维度进行对比分析,结果显示:MCCA在价值收益与现有算法相当的同时,执行效率显著提升,并成功避免了用户隐私的泄露。 展开更多
关键词 时钟拍卖 机制设计 移动群智感知 任务分配 预算可行性
在线阅读 下载PDF
GNSS卫星钟性能分析与预报软件设计与实现
5
作者 吕传磊 雷雨 赵丹宁 《全球定位系统》 2025年第5期51-59,共9页
GNSS卫星钟直接影响定位、导航与授时服务性能,卫星钟性能分析与预报是GNSS监测评估中的一项重要工作.根据卫星钟性能分析与预报的工程实践需求,采用MATLAB语言设计与开发了一款可视化卫星钟性能分析与预报软件,该软件提供钟差数据编辑... GNSS卫星钟直接影响定位、导航与授时服务性能,卫星钟性能分析与预报是GNSS监测评估中的一项重要工作.根据卫星钟性能分析与预报的工程实践需求,采用MATLAB语言设计与开发了一款可视化卫星钟性能分析与预报软件,该软件提供钟差数据编辑、质量控制、特性分析与建模预报功能,能够实现钟差数据批处理,具有操作简单、交互性强与数据可视化的优点.测试结果表明,该软件能够通过一键式对卫星钟性能进行分析与预报,对GPS卫星钟的频率漂移率、频率稳定度和频谱分析等结果与Stable32软件一致,钟差24 h预报平均精度为1 ns,高于国际GNSS服务(International GNSS Service,IGS)提供的钟差预报产品的精度(2.13 ns),预报精度提高53.05%,证明该软件具有很高的可靠性与实用性. 展开更多
关键词 GNSS 卫星钟差 性能分析 预报 软件设计
在线阅读 下载PDF
亿门级层次化物理设计时钟树的研究
6
作者 王淑芬 李应利 高凯菲 《电子技术应用》 2025年第9期35-38,共4页
传统的展平式物理设计已不能满足VLSI的设计需求,层次化物理设计已成为VLSI设计的主流方法。在VLSI层次化物理设计过程中,顶层寄存器和子模块内寄存器的时钟树偏差对整个芯片时序收敛有很大的影响。针对亿门级层次化顶层物理设计时钟树... 传统的展平式物理设计已不能满足VLSI的设计需求,层次化物理设计已成为VLSI设计的主流方法。在VLSI层次化物理设计过程中,顶层寄存器和子模块内寄存器的时钟树偏差对整个芯片时序收敛有很大的影响。针对亿门级层次化顶层物理设计时钟树无法读取到子模块中的时钟树延时,导致最终顶层寄存器和子模块内寄存器时钟偏差过大的问题,提出了在顶层时钟树综合阶段设置子模块实际时钟延迟的方法,有效地减小顶层寄存器和子模块内寄存器的时钟偏差,为后续的时序优化提供了有效保障。 展开更多
关键词 亿门级 VLSI 层次化物理设计 时钟树 时序收敛
在线阅读 下载PDF
基于全过程工程咨询成本控制的机电设计优化方向探索
7
作者 湛珂 王慧 +3 位作者 李林虹 林强 王燕 侯雅君 《建筑电气》 2025年第5期47-51,共5页
针对全过程工程咨询项目机电成本控制难度大、设计优化方向不明确的问题,基于价值工程,探讨在全过程咨询模式下,设计优化技术与经济分析有机结合的必要性。从初步设计及施工图设计角度出发,通过对机场、医院、综合办公楼等典型业态进行... 针对全过程工程咨询项目机电成本控制难度大、设计优化方向不明确的问题,基于价值工程,探讨在全过程咨询模式下,设计优化技术与经济分析有机结合的必要性。从初步设计及施工图设计角度出发,通过对机场、医院、综合办公楼等典型业态进行价值分析,建立具有一定特色的全过程咨询服务管理体系,为后续类似项目机电成本控制及优化提供新思路。 展开更多
关键词 全过程管理 成本控制 机电专业 设计优化 价值工程 全寿命周期成本 时钟系统 智能化机房
在线阅读 下载PDF
New Synchronization Algorithm and Analysis of Its Convergence Rate for Clock Oscillators in Dynamical Network with Time-Delays 被引量:1
8
作者 甘明刚 于淼 +1 位作者 陈杰 窦丽华 《Journal of Beijing Institute of Technology》 EI CAS 2010年第1期58-65,共8页
New synchronization algorithm and analysis of its convergence rate for clock oscillators in dynamical network with time-delays are presented.A network of nodes equipped with hardware clock oscillators with bounded dri... New synchronization algorithm and analysis of its convergence rate for clock oscillators in dynamical network with time-delays are presented.A network of nodes equipped with hardware clock oscillators with bounded drift is considered.Firstly,a dynamic synchronization algorithm based on consensus control strategy,namely fast averaging synchronization algorithm (FASA),is presented to find the solutions to the synchronization problem.By FASA,each node computes the logical clock value based on its value of hardware clock and message exchange.The goal is to synchronize all the nodes' logical clocks as closely as possible.Secondly,the convergence rate of FASA is analyzed that proves it is related to the bound by a nondecreasing function of the uncertainty in message delay and network parameters.Then,FASA's convergence rate is proven by means of the robust optimal design.Meanwhile,several practical applications for FASA,especially the application to inverse global positioning system (IGPS) base station network are discussed.Finally,numerical simulation results demonstrate the correctness and efficiency of the proposed FASA.Compared FASA with traditional clock synchronization algorithms (CSAs),the convergence rate of the proposed algorithm converges faster than that of the CSAs evidently. 展开更多
关键词 clock synchronization convergence rate dynamical network robust optimal design
在线阅读 下载PDF
A UNIFIED THEORY FOR DESIGNING ANDANALYZING BOTH SYNCHRONOUS AND ASYNCHRONOUS SEQUENTIAL CIRCUITS
9
作者 吴训威 陈晓莉 金瓯 《Journal of Electronics(China)》 1995年第1期15-23,共9页
The paper discusses general expressions of the clock signal and the next state equations containing the clock signal for flip-flops, and based on it, a unified theory for designing and analyzing both synchronous and a... The paper discusses general expressions of the clock signal and the next state equations containing the clock signal for flip-flops, and based on it, a unified theory for designing and analyzing both synchronous and asynchronous sequential circuits is proposed. The theory is proved effective by practical examples. 展开更多
关键词 SEQUENTIAL CIRCUITS clock signal LOGIC design
在线阅读 下载PDF
SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS
10
作者 Wu Xunwei (Department of Electronic Engineering, Zhejiang University, Hangzhou 310028)Qing Wu Massoud Pedram (Department of Electrical Engineering-Systems, University of Southern California, USA) 《Journal of Electronics(China)》 1999年第2期138-145,共8页
Based on analyzing significance of controlling clock in design of low power sequential circuits, this paper proposes a technique that the gating signal is derived from the master latch in a flip-flop to make the deriv... Based on analyzing significance of controlling clock in design of low power sequential circuits, this paper proposes a technique that the gating signal is derived from the master latch in a flip-flop to make the derived clock having no glitch and no skew. The design of a decimal counter with half-frequency division shows that by using the synchronous derived clock the counter has lower power dissipation as well as simpler combinational logic. Computer simulation shows 20% power saving. 展开更多
关键词 Low power SEQUENTIAL circuit LOGIC design DERIVED clock
在线阅读 下载PDF
Design of Digital Circuit Experiment Course Based on FPGA
11
作者 Lei Zhao 《World Journal of Engineering and Technology》 2021年第2期346-356,共11页
With the development of integrated circuit, the content of digital circuit experiment course is constantly updated. In order to keep up with the development trend of the Times and make students’ professional knowledg... With the development of integrated circuit, the content of digital circuit experiment course is constantly updated. In order to keep up with the development trend of the Times and make students’ professional knowledge meet the needs of the industry, the school adopts the FPGA experimental platform to carry out teaching reform from the two aspects of platform and experiment, and carry out reasonable experimental planning to enrich the experimental content. In this paper, the traditional knowledge points of logic algebra, trigger, timer, counter, decoder and digital tube are organically combined, and the digital clock system is designed and realized. The practice shows that the combination of modern design method and traditional digital circuit teaching method can play a good teaching effect. In this way, students can also fully learn, understand and skillfully use the new technology in the experiment, and in the process of building a comprehensive understanding of digital circuits. 展开更多
关键词 Digital Circuit FPGA Circuit design Software Simulation Digital clock System
在线阅读 下载PDF
Physical design method of MPSoC
12
作者 LIU Peng XIA Bing-jie TENG Zhao-wei 《Journal of Zhejiang University-Science A(Applied Physics & Engineering)》 SCIE EI CAS CSCD 2007年第4期631-637,共7页
Floorplan, clock network and power plan are crucial steps in deep sub-micron system-on-chip design. A novel di- agonal floorplan is integrated to enhance the data sharing between different cores in system-on-chip. Cus... Floorplan, clock network and power plan are crucial steps in deep sub-micron system-on-chip design. A novel di- agonal floorplan is integrated to enhance the data sharing between different cores in system-on-chip. Custom clock network con- taining hand-adjusted buffers and variable routing rules is constructed to realize balanced synchronization. Effective power plan considering both IR drop and electromigration achieves high utilization and maintains power integrity in our MediaSoC. Using such methods, deep sub-micron design challenges are managed under a fast prototyping methodology, which greatly shortens the design cycle. 展开更多
关键词 Physical design Fast prototyping FLOORPLAN clock tree synthesis (CTS) Power plan Multiprocessor system-onchip (MPSoC)
在线阅读 下载PDF
Time, Culture and Identity: A Digital and Creative Professional’s Perspective on Interpreting Historical Clocks in Museum Environments
13
作者 Dominic ROBSON 《Chinese Annals of History of Science and Technology》 2020年第S01期103-122,共20页
Digital media offer unique opportunities for museums to bring to life the secrets and stories of their historical collections.To bring insight into the process of developing digital media exhibits,this paper presents ... Digital media offer unique opportunities for museums to bring to life the secrets and stories of their historical collections.To bring insight into the process of developing digital media exhibits,this paper presents the perspective of a creative practitioner in approaching technology-and media-based interpretation for collection objects.It follows the Time,Culture and Identity digital workshop held in Beijing in October 2019,which explored and shared ideas about collaborative research and interdisciplinary practice in digital interpretation between academics,institutions,creative practitioners,and developers.Following the direction of the workshop,the paper takes as its focus the clocks and automatons of the imperial collection at the Palace Museum in Beijing.Observations are based on the author’s practice-led experience in running a design studio,Harmonic Kinetic,developing new media exhibits using digital technology and audiovisual media for museums,galleries,and exhibitions in the UK,including the Science Museum,V&A,Barbican,Tate,and the Tower of London.Taking a broad interaction-design-led outlook,the paper explores a personal design perspective for developing interpretive content and considers the particular opportunities and approaches these historical devices suggest.The paper concludes with a final section that reviews the process and reflects on outcomes from the Time,Culture and Identity digital workshop.This explored possibilities for an interpretive exhibit on the Country Scene clock from the Palace Museum collection. 展开更多
关键词 object interpretation digital media exhibition design mechanical clocks automatons Palace Museum
在线阅读 下载PDF
High Level Design Flow Targeting Real Multistandard Circuit Designer Requirements
14
作者 Khaled Grati Nadia Khouja +1 位作者 Bertrand Le Gal Adel Ghazel 《通讯和计算机(中英文版)》 2011年第5期335-346,共12页
关键词 设计流程 电路设计 标准 瞄准 设计方法 通道选择 DECT UMTS
在线阅读 下载PDF
宋代水运仪象台图像复刻与虚拟展示设计 被引量:1
15
作者 薛艳敏 靳涛 周毅晖 《设计》 2024年第7期28-32,共5页
提升宋代水运仪象台虚拟展示设计的沉浸性、交互性。实地调研、测绘,将三维建模和动画、360全息投影等三维数字化技术应用于水运仪象台的虚拟展陈。真实复刻出其虚拟模型,呈现出虚拟立体的数字图像,完整且清晰地展示了其外观构造和内部... 提升宋代水运仪象台虚拟展示设计的沉浸性、交互性。实地调研、测绘,将三维建模和动画、360全息投影等三维数字化技术应用于水运仪象台的虚拟展陈。真实复刻出其虚拟模型,呈现出虚拟立体的数字图像,完整且清晰地展示了其外观构造和内部结构及机械运转状态。数字化技术为展示水运仪象台的千年风貌提供了新的方式,对于中华文明史上杰出科技的保存与传播有积极的借鉴意义。 展开更多
关键词 水运仪象台 数字化 全息投影 虚拟展示 展示设计
在线阅读 下载PDF
Multisim 14.0在电子设计课程中的应用研究——以数字时钟电路为例 被引量:2
16
作者 马宏兴 马云 +1 位作者 刘旋 盛铁雷 《现代信息科技》 2024年第11期195-198,共4页
为提高电子设计课程的教学效果,帮助学生依照电路原理进行虚拟实验,以数字时钟设计教学为例,研究在电子设计课程中应用Multisim14.0进行电路设计、仿真、修改、元器件封装及PCB制作。实践结果表明,在电子设计课程中应用Multisim 14.0可... 为提高电子设计课程的教学效果,帮助学生依照电路原理进行虚拟实验,以数字时钟设计教学为例,研究在电子设计课程中应用Multisim14.0进行电路设计、仿真、修改、元器件封装及PCB制作。实践结果表明,在电子设计课程中应用Multisim 14.0可以夯实学生的理论知识,提高学生的实践能力,提升电子设计课程的教学效果。 展开更多
关键词 电子设计 Multisim 14.0 时钟电路 PCB 电路仿真
在线阅读 下载PDF
基于驯服钟控技术的时间频率源设计 被引量:1
17
作者 张驿 陈凌 袁田 《电子质量》 2024年第3期36-40,共5页
标准时间频率信号是系统高效工作的基石,越来越高的时间频率测量精度对时频信号的产生提出了高稳定高准确度的要求。介绍了时间频率源的基本概念,阐述了一种基于驯服钟控技术的高稳定度高准确度时间频率源的基本工作原理及其设计,其输... 标准时间频率信号是系统高效工作的基石,越来越高的时间频率测量精度对时频信号的产生提出了高稳定高准确度的要求。介绍了时间频率源的基本概念,阐述了一种基于驯服钟控技术的高稳定度高准确度时间频率源的基本工作原理及其设计,其输出的频率信号短期稳定度可达1.46×10-13/s。该设计方案已经成功应用于多个车载测控通信系统。 展开更多
关键词 驯服钟控 时间频率基准 恒温晶振 工作原理 设计
在线阅读 下载PDF
单元感知测试的优化方案
18
作者 李锦明 刘洁 《微电子学与计算机》 2024年第8期109-114,共6页
越来越多的半导体公司采用新型故障模型——单元感知测试(Cell Aware Test,CAT)来提高库单元内部覆盖率和低缺陷率,但CAT在自动测试向量生成(Auto Test Pattern Generation,ATPG)过程中使用的测试向量数量多,运行时间长,显著地增加测试... 越来越多的半导体公司采用新型故障模型——单元感知测试(Cell Aware Test,CAT)来提高库单元内部覆盖率和低缺陷率,但CAT在自动测试向量生成(Auto Test Pattern Generation,ATPG)过程中使用的测试向量数量多,运行时间长,显著地增加测试成本。为了优化CAT,在ATPG流程中加入了总临界区域(Total Critical Area,TCA)和合并时钟域命名捕获过程(Named Capture Procedure,NCP)方法。TCA根据故障类型和最有可能出现故障的位置进行排序,合并时钟域NCP方法为被测知识产权(Intellectual Property,IP)管理时钟域、控制时钟、定义捕获方案。结果表明,结合TCA和合并时钟域NCP方法的CAT达到了提高覆盖率、降低测试向量数量、减少运行时间的优化目标。与以往的CAT优化研究相比,结合了TCA和合并时钟域NCP的CAT优化流程在优化覆盖率和测试向量方面达到了更好的效果。 展开更多
关键词 细胞感知测试 可测试性设计 合并时钟域 总临界区域
在线阅读 下载PDF
模拟乒乓球运动的逻辑电路设计
19
作者 张聪慧 《集成电路应用》 2024年第8期25-27,共3页
阐述在数字逻辑电路实验教学中引入游戏电路设计。以模拟乒乓球游戏电路的设计为例,该游戏电路综合运用计数器、移位寄存器、锁存器等逻辑器件,利用按键模拟两位选手的乒乓球拍,利用发光二极管模拟乒乓球及其运动路径,A端与B端相互击球... 阐述在数字逻辑电路实验教学中引入游戏电路设计。以模拟乒乓球游戏电路的设计为例,该游戏电路综合运用计数器、移位寄存器、锁存器等逻辑器件,利用按键模拟两位选手的乒乓球拍,利用发光二极管模拟乒乓球及其运动路径,A端与B端相互击球,数码管显示选手的当前得分,游戏难度可通过改变时钟电路的频率进行调节。 展开更多
关键词 逻辑电路设计 移位寄存器 时钟频率 计数器 数码显示 模拟乒乓
在线阅读 下载PDF
一种快速实现时序收敛的设计方法 被引量:2
20
作者 王虎虎 雷倩倩 +3 位作者 刘露 杨延飞 李连碧 冯松 《微电子学与计算机》 2024年第4期123-131,共9页
为了解决处理器时序收敛困难和设计时间长的问题,本文基于14 nm的定制化处理器(WS_CPU)提出了一种高效可靠的设计方法:(1)基于一种新型的FCHT(Flexible Configurable H-Tree)时钟结构,实现时钟信号均匀分配和减少绕线时间,同时采用CCOPT... 为了解决处理器时序收敛困难和设计时间长的问题,本文基于14 nm的定制化处理器(WS_CPU)提出了一种高效可靠的设计方法:(1)基于一种新型的FCHT(Flexible Configurable H-Tree)时钟结构,实现时钟信号均匀分配和减少绕线时间,同时采用CCOPT(Clock Concurrent Optimization)技术进行时钟树综合优化;(2)在综合阶段采用DCG(Design Compiler Graphical)模式和门控时钟插入技术,提前评估设计风险从而减少布局布线的迭代时间。验证结果表明,当WS_CPU时钟频率为1 GHz时,寄存器之间建立时间的时序余量为108 ps,有效地实现了时序快速收敛,同时FCHT结构相比传统平衡树、柔性H树、3级H树的芯片总功耗分别减少了7.71%、6.18%、7.87%;FCHT时钟结构相比传统平衡树在时序修复上节省了3156 min,相比柔性H树节省了5220 min的时序修复时间,缩短了芯片的设计周期。 展开更多
关键词 时序收敛 设计周期 FCHT时钟结构 柔性H树 时钟树综合
在线阅读 下载PDF
上一页 1 2 14 下一页 到第
使用帮助 返回顶部